sort:排序 verilog 实现

时间:2021-05-25 18:44:06
【文件属性】:
文件名称:sort:排序 verilog 实现
文件大小:9KB
文件格式:ZIP
更新时间:2021-05-25 18:44:06
Verilog sort 排序 verilog 实现 理论上 可以用实现任意数量的排序 ,只要资源允许 比 Radix-2的快一倍,节约一半的资源 核心为 order_1_4 order_1_3 祝好 --@--Young--@--
【文件预览】:
sort-master
----order_1_3.v(2KB)
----order_25D_model_1.v(4KB)
----order_1_4_tb.sv(1KB)
----order_1_4.v(3KB)
----README.md(201B)
----order_25D_switch_tb.sv(4KB)
----order_25D_comodel.v(6KB)
----order_25D_switch.v(9KB)
----order_25D_model_0.v(5KB)

网友评论