V3学院XILINX FPGA寒假班培训感受 江苏大学 电子信息科学与技术 邓普建

时间:2023-01-26 13:51:47

事先申明一点,我是大一的学生,因此会站在一个新生的角度叙述。
刚开始接触V3学院是在江苏大学与V3学院合办的FPGA/SOC培训中,那是对全校开放的免费培训,历时三个周末。我那时有幸从头听到了尾,觉得V3学院的老师讲课特别详细,解答学生问题时特别有耐心,对新手特别友好。培训内容从流水灯到uart串口协议,由浅入深,越学越觉得FPGA有意思并且未来也有前景,也觉得自己能将它学好。那时大一还有几个跟我有同样感受的人,大家决定趁着大一时间多,再去V3的寒假班进行系统的培训。
去之前V3学院的老师们非常关心我们的安全,再三叮嘱我们注意安全、最好多人一起来有个照应,并且告诉了我们详细的乘车路线,还主动留了各自的联系方式以防不测。一路上更是多次关心我们的动向。十分贴心。
因为我们学校放寒假的时间较晚,到了的时候其他人已经培训了三天了,那时心里还是有些害怕跟不上的。不料汪老师等人在晚上坚持给我们这些晚来的人开小灶补课,从他人学的流水灯到VGA最后还超前讲了按键消抖,最后反而我们进度更快了……
这里的老师都很负责任又有耐心,会帮学生下好软件破解好并且无条件地共享学习资源,也非常鼓励学生提问题,并且不让你弄懂绝不罢休……在这里你会遇到很多挑战,在解决中慢慢见证你自己的进步,像我从一开始什么都不会,到后来能自己设计VGA游戏,虽然只是简单的乒乓球游戏,但自己设计的别有一番成就感。
关于住宿条件其实我特别想吐槽——要是把那四个上下铺去了换成双人床那不就是宾馆吗……住宿条件很好,有空调,有独卫,有洗衣机,有浴霸……被褥枕头都是学院准备的,我来的时候只带了电脑和换洗衣服。总而言之,在V3学院的寒假班里我学到了很多知识,为今后的专业学习和工作打下了基础,也认识到了很多朋友,见识到了真正的学霸,感觉到了自己与别人的差距,重新认识了自己的能力,是一次很好的体验。
上海V3学院FPGA/SOC培训很值,而且越早来学的东西越多,与其寒假在召唤师峡谷流连,不如来这为未来铺路!

www.v3edu.org
QQ群:252520964

V3学院XILINX FPGA寒假班培训感受 江苏大学 电子信息科学与技术 邓普建的更多相关文章

  1. v3学院带您一起学习FPGA

    本文为原创,转载请注明! 课程名称:双buffer乒乓操作项目概况:使用FPGA内部ram作为缓冲器,实现对外部数据流的缓存:为了提升数据的传输及处理速度,在此节课中将用到两个ram进行乒乓操作.结构 ...

  2. v3学院带你学习EEPROM读写实验

    一.实验背景在消费者电子电讯和工业电子中看上去不相关的设计里经常有很多相似的地方例如几乎每个系统都包括一些智能控制通常是一个单片的微控制器,通用电路例如LCD驱动器远程I/O,RAM,EEPROM或数 ...

  3. 7月12日至芯FPGA就业班招生

    至芯科技FPGA就业培训班将于2014年7月12日正式开班,届时至芯科技将秉承着一贯的教学传统,为广大师生打造一个专业的技术平台和轻松的学习环境. 在夏宇闻教授的带领下,至芯科技汇聚了一批来自国内外大 ...

  4. v3学院带你一次性认清UART、RS-232、RS-422、RS-485的区别

    通讯问题,和交通问题一样,也有高速.低速.拥堵.中断等等各种情况.如果把串口通讯比做交通,UART比作车站,那么一帧的数据就好比汽车.汽车跑在路上,要遵守交通规则.如果是市内,一般限速30.40,而高 ...

  5. Xilinx FPGA高速串行收发器简介

    1 Overview 与传统的并行实现方法相比,基于串行I/O的设计具有很多优势,包括:器件引脚数较少.降低了板空间要求.印刷电路板(PCB)层数较少.可以轻松实现PCB设计.连接器较小.电磁干扰降低 ...

  6. Xilinx FPGA LVDS应用

    最近项目需要用到差分信号传输,于是看了一下FPGA上差分信号的使用.Xilinx FPGA中,主要通过原语实现差分信号的收发:OBUFDS(差分输出BUF),IBUFDS(差分输入BUF). 注意在分 ...

  7. 基于Xilinx FPGA的视频图像采集系统

    本篇要分享的是基于Xilinx FPGA的视频图像采集系统,使用摄像头采集图像数据,并没有用到SDRAM/DDR.这个工程使用的是OV7670 30w像素摄像头,用双口RAM做存储,显示窗口为320x ...

  8. Xilinx FPGA引脚txt文件导入excel中

    需求 为了把xilinx FPGA的官方引脚文件txt转成excel文件(实际官网中有对应的csv文件就是excel文件了...) xilinx FPGA引脚地址:https://china.xili ...

  9. Xilinx FPGA复位逻辑处理小结(转)

    Xilinx FPGA复位逻辑处理小结 1. 为什么要复位呢? (1)FPGA上电的时候对设计进行初始化: (2)使用一个外部管脚来实现全局复位,复位作为一个同步信号将所有存储单元设置为一个已知的状态 ...

随机推荐

  1. R语言 批量规划求解

    昨天读到一个项目,是关于优化求解的. 约束条件如下: 公司里有很多客户,客户之所以不继续用我们的产品了,是因为他账户余额是负的,所以,为了重新赢回这些客户,公司决定发放优惠券cover掉客户账户的负余 ...

  2. wpf listbox 内的内容显示问题,需要设置里面的itemsPresenter

    有时候控件并非维护本身逻辑,而是依赖于父子元素的,如了上诉的ContentPresenter,我们还有一个非常常用的ListBox控件,因为继承自ItemsControl,所以有一个ItemsPane ...

  3. RxJava学习入门

    RxJava是什么 一个词:异步. RxJava 在 GitHub 主页上的自我介绍是 "a library for composing asynchronous and event-bas ...

  4. 7. leetcode 104. Maximum Depth of Binary Tree

    Given a binary tree, find its maximum depth. The maximum depth is the number of nodes along the long ...

  5. 日积月累——java虚拟机内存区域的划分

    看了博主“一杯凉茶”一篇对java内存详解的文章后,虚心学习,并记录下来 ,以便日后再来温故. 一,运行时数据区 1.程序计数器 当前线程所执行的字节码行号指示器,字节码解释器工作依赖计数器控制完成, ...

  6. 一台电脑,两个及多个git账号配置

    1. 生成两[三]个ssh公钥私钥 方法参照:http://www.cnblogs.com/fanbi/p/7772812.html第三步骤 假定其中一个是id_rsa, 另一个时id_rsa_two ...

  7. FormData介绍

    FormData XMLHttpRequest Level 2添加了一个新的接口FormData.利用FormData对象,我们可以通过JavaScript用一些键值对来模拟一系列表单控件,我们还可以 ...

  8. try/except/else语句

    # -*- coding: utf-8 -*- #python 27 #xiaodeng #Python学习手册 868 #try/except/else语句 #try语句分句形式 except: # ...

  9. 免sudo使用docker命令

    背景 因为使用的是sudo安装docker,所以会导致一个问题.以普通用户登录的状况下,在使用docker images时必须添加sudo,那么如何让docker免sudo依然可用呢?于是开始搜索解决 ...

  10. next()和nextLine()的区别

    众所周知,在Java中输入字符串有两种方法,就是next()和nextLine(),今天研究了一下其中的区别. 首先,nextLine()的输入是碰到回车就终止输入,而next()方法是碰到空格,回车 ...