作品交流:为什么ModelSim仿真无波形

时间:2024-04-14 19:41:21

Q:

nimade 163

杜老师,你好。希望你在百忙之中抽出时间帮我解决一下这个问题:

例程E5_5_FpgaASKDemodGate在ise里启动modelsim后,一片空白。其它的例程没有问题。见附件。

望帮忙解决问题。谢谢了。

作品交流:为什么ModelSim仿真无波形

作品交流:为什么ModelSim仿真无波形

 

A:

你好。根据你的描述,其它例程能够正确仿真,说明软件环境安装没有问题。

由于你的截图没有Modelsim提示信息的内容,我只能猜测原因,给出一些建议。

1)Modelsim中可以在波形窗口中添加需要观察的信号,你可以直接在信号列表窗口,右键单击,选择需要观察的信号添加到波形窗口显示。

2)程序中,有一个tst_AskDemod.vhd文件,如这个文件能能够正确仿真,出波形。tst_top文件仅增加了一个门限判断模块,你可以尝试逐级调试,查看问题所在。

3)可以将Modelsim软件主界面中的信息提示窗口图片上传一下,我们可以从提示信息中找到具体的原因。

4)ISE/Modelsim工具的使用入门稍为有些繁杂,可能需要耐心了解,并熟练应用,以增加程序调试效率。